Радиоэлектроника и новые технологии
- по вопросам размещения рекламы -

2-нанометровый техпроцесс у порога

0 38

Недавно компания-разработчик микросхем Marvell объявила о расширении своего долгосрочного партнерства с TSMC за счет включения 2-нанометровой технологии. Компании будут сотрудничать в разработке первой в отрасли 2-нанометровой платформы для производства полупроводников, оптимизированной для ускорения роста инфраструктуры.

В настоящее время самой передовой технологией производства в отрасли является 3-нанометровый процесс, производимый компаниями Samsung Electronics и TSMC. Благодаря тому, что Intel приобрела первую машину для литографии ASML и обновила свою новейшую производственную схему, а также благодаря растущему сотрудничеству между Rapidus и IBM, конкуренция за 2-нанометровый передовой процесс значительно расширилась, включив в себя TSMC, Intel, Samsung и Rapidus.

 

 

Марвелл

 Marvell превратилась из последователя в лидера в интеграции передовых  технологий в кремниевую инфраструктуру. Marvell впервые внедрила передовую технологию в инфраструктурную микросхему с помощью своей 5-нм платформы, после чего выпустила несколько 5-нанометровых разработок и описание первой линейки продуктов чипов на 3-нанометровом техпроцессе TSMC. «Рабочие нагрузки искусственного интеллекта завтрашнего дня потребуют значительного и существенного увеличения производительности, мощности, площади и плотности транзисторов. 2-нм платформа позволит Marvell предоставлять высокодифференцированные аналоговые, смешанные сигналы и базовые IP-технологии для создания ускоренной инфраструктуры, способной реализовать потенциал искусственного интеллекта», — сказал Сандип Бхарати, директор по развитию Marvell.

TSMC

TSMC начала массовое производство по своему 3-нанометровому техпроцессу в 2022 году, а прибыльность пошла начиная с третьего квартала 2023 года. К четвертому кварталу 2023 года 3-нанометровый процесс обеспечил 15% выручки от пластин, а ее доля в доходах стабильно увеличивается. По данным TrendForce, ожидается, что производство чипов вырастет на 7% в 2024 году, во многом благодаря наращиванию 3-нанометрового процесса TSMC. Это еще больше увеличит долю рынка тайваньского гиганта.

Во время отчета о прибылях и убытках в четвертом квартале 2023 года TSMC объявила, что в ее 2-нанометровом процессе (N2) будут использоваться транзисторные структуры Nanosheet. Ожидается, что массовое производство N2 начнется в 2025 году, что он станет лидером отрасли по плотности и энергоэффективности. Решение для обратной подачи питания N2 планируется выпустить во второй половине 2025 года и, как ожидается, поступит в массовое производство в 2026 году, в первую очередь ориентированное на сектор высокопроизводительных вычислений (HPC). Кроме того, из-за текущего высокого спроса на 2-нанометровые процессы со стороны всех первопроходцев в области ИИ во всем мире, превышающего спрос на 3-нанометровые процессы, почти все компании сектора ИИ сотрудничают с TSMC в области 2-нанометровых техпроцессов. Основные приложения в первую очередь ориентированы на высокопроизводительные вычисления (HPC) и смартфоны. В связи с этим TSMC объявила о планах расширить свои производственные мощности для 2-нанометровых процессов. Первоначально на заводе в Гаосюне планировалось построить два 2-нанометровых завода, но сейчас рассматривается возможность строительства третьего 2-нанометрового завода.

Samsung

Samsung начала массовое производство по своему 3-нм процессу в июне 2022 года. Согласно последним отраслевым отчетам, Samsung разработала 3-нанометровый процесс «второго поколения», переименованный в «2-нанометровый», и планирует начать массовое производство до конца этого года. На форуме Samsung Foundry Forum 2023 компания Samsung Electronics представила новейшую дорожную карту своего 2-нанометрового процесса. Президент и глава чипового бизнеса Samsung Electronics Сиёнг Чой сообщил, что Samsung сначала начнет массовое производство 2-нанометровых чипов для мобильных терминалов, начиная с 2025 года. Впоследствии, в 2026 году, эта технология будет применена к продуктам высокопроизводительных вычислений (HPC) с последующим расширением производства автомобильных чипов к 2027 году.

В отличие от TSMC, которая выбрала структуру Gate-All-Around (GAA) в начале своего 2-нанометрового процесса, Samsung использует структуру GAA, начиная с 3-нанометрового процесса. Это говорит о том, что у Samsung может быть больше опыта в новых структурах по сравнению с TSMC, что дает Samsung преимущество в своем 2-нм производстве. В прошлом, когда в 2020 году компания Samsung Electronics перешла с 7-нм на 5-нм техпроцесс, 7-нм техпроцесс второго поколения был переименован в 5-нм техпроцесс.

7-нанометровый техпроцесс компании Samsung Electronics стал первым в мире, где в 2019 году использовалась литография в условиях экстремального ультрафиолета (EUV), что сделало ее более стабильной и позволило компании еще больше уменьшить размеры транзисторов. Это также стало причиной переименования 7-нанометрового процесса второго поколения в 5-нанометровый процесс. В отчете Business Korea указывается, что Samsung Electronics недавно получила заказ от японского стартапа в области искусственного интеллекта Preferred Networks (PFN) на производство полупроводников на основе 2-нанометрового процесса. Сообщается, что PFN сотрудничает с TSMC с 2016 года, но в этом году решила производить следующее поколение AI-чипов на 2-нм у Samsung. Согласно соглашению, Samsung будет использовать свою новейшую 2-нанометровую технологию производства чипов для производства ускорителей искусственного интеллекта и других чипов искусственного интеллекта для PFN.

Согласно ранее объявленным планам Intel, компания стремится догнать и превзойти TSMC к 2024 или 2025 году. На конференции Direct Connect в этом году, организованной Intel Foundry Services, компания представила свою новейшую технологическую дорожную карту. Intel сообщила, что ее основной продукт, Clearwater Forest, изготовленный по техпроцессу 18A, завершен и будет запущен в производство в 2025 году. Процесс Intel 18A часто сравнивают с N2 (2-нанометровый) и N3P (3-нанометровый) TSMC с точки зрения производительности, при этом каждая компания отстаивает свои преимущества.

Генеральный директор Intel Пэт Гелсингер подчеркивает, что и 18A, и N2 используют транзисторы GAA (RibbonFET), но 1,8-нанометровый узел будет использовать BSPND, технологию обратной подачи питания, которая оптимизирует мощность и тактовую частоту. TSMC, с другой стороны, считает, что ее технология N3P (3-нанометровая) будет конкурировать с технологией Intel 18A по энергопотреблению, производительности и занимаемой площади (PPA), а ее N2 (2-нанометровая) превзойдет ее во всех аспектах.

Кроме того, как сообщается, в 2024 году планируется запустить производственную технологию Intel 20A, в которой будут представлены две технологии: транзисторы с объемным затвором RibbonFET и обратная подача питания (BSDN). Они направлены на достижение более высокой производительности, снижения энергопотребления и увеличения плотности транзисторов. Между тем, производственный узел Intel 18A нацелен на дальнейшее совершенствование инноваций 20A и предоставление дополнительных улучшений PPA с конца 2024 по начало 2025 года. Согласно заявлениям Intel относительно своих  процессов, ожидается, что ее 2-нанометровая технология дебютирует раньше всех. Особо следует отметить, что Intel впервые на конференции объявила о разработке 14A (1,4 нм) и его эволюционной версии 14A-E. Процесс Intel 14A — это первый в отрасли узел, в котором используются инструменты литографии ASML High-NA EUV, что делает Intel первой компанией в отрасли, приобретшей передовые инструменты High-NA. Intel рассчитывает разработать 14A к 2027 году.

Rapidus

Помимо вышеупомянутых предприятий по производству полупроводников, стоит отметить японскую компанию Rapidus. Компания Rapidus была основана августе 2022 года восемью японскими компаниями, включая Toyota, Sony, NTT, NEC, SoftBank, Denso, гиганта NAND Flash Kioxia и Mitsubishi UFJ. 22 января 2024 года президент Rapidus Дзюнъити Койке объявил во время пресс-конференции, что строительство завода по производству 2-нанометровых чипов Rapidus в Японии идет гладко, и запуск пробной производственной линии запланирован на апрель 2025 года, как и планировалось.

Кроме того, в будущем планируется строительство второго и третьего объекта. В сентябре прошлого года компания Rapidus начала строительство первого в Японии завода по производству логических микросхем «IIM-1» в городе Титосэ на Хоккайдо, способного производить чипы размером менее 2 нанометров. Сообщается, что строительство завода планируется завершить к декабрю этого года. Ранее Rapidus подписала соглашение о сотрудничестве с IBM для разработки технологии на основе 2-нанометрового процесса IBM. IBM уже представила первый в мире чип, изготовленный по 2-нанометровому техпроцессу, еще в 2021 году. Точно так же в 2-нанометровом техпроцессе IBM также используется структура GAA (Gate-All-Around). Это партнерство обеспечивает Rapidus техническую поддержку, необходимую для разработки передовых процессов.

 

 

Оставить комментарий