Радиоэлектроника и новые технологии
- по вопросам размещения рекламы -

Строительство 2-нм фабрик ускоряется

0 7

На фоне всепоглощающей волны искусственного интеллекта важность передовых чипов становится все более заметной. В настоящее время 3-нм техпроцесс является самым передовым узлом в отрасли. Между тем, такие производители, как TSMC, Samsung, Intel и Rapidus, активно продвигают создание 2-нм фабрик. Ранее TSMC и Samsung планировали начать массовое производство 2-нм чипов в 2025 году, а Rapidus — начать пробное производство в 2025 году.

Недавно организация Semiconductor Equipment and Materials International (SEMI) объявила, что ожидается, что и TSMC, и Intel потенциально завершат строительство фабрик по производству 2-нм пластин к концу этого года.

Как сообщает TrendForce, ожидается, что Intel первой добьется коммерциализации 2-нм чипов. В процессоре Intel для ПК Arrow Lake будет использоваться 2-нм техпроцесс. Ожидается, что 2-нм техпроцесс TSMC будет применяться в чипах Apple iPhone AP. Впоследствии 2-нм техпроцесс TSMC резко возрастет.

Согласно отчету Commercial Times, установка оборудования для 2-нм процесса TSMC ускоряется. На заводе TSMC Fab20 P1 в Синьчжу, Баошань, планируется установить оборудование в апреле этого года, при этом ожидается, что пилотное производство начнется во второй половине 2024 года, а мелкосерийное производство — во втором квартале 2025 года.
Что касается Intel, ASML уже поставила Intel первый в мире EUV EXE:5200 с высокой числовой апертурой (NA) в конце 2023 года, поддержав последнюю в производстве 2-нм чипов. Позже Intel приступила к калибровке литографической машины, которая идет полным ходом.

Что касается Samsung, ранее объявленная технологическая карта показывает, что она сначала начнет массово производить 2-нм чипы для мобильных терминалов, начиная с 2025 года, а затем в 2026 году начнет выпускать продукты для высокопроизводительных вычислений (HPC). К 2027 году компания планирует расширить производство до автомобильных чипов. .

Rapidus открывает фабрику по производству 2-нм чипов в городе Титосэ, Хоккайдо, Япония. Пилотную производственную линию планируется запустить в эксплуатацию в апреле 2025 года, а массовое производство планируется начать в 2027 году.

 

Недавно сообщалось, что в целях содействия развитию передовых фабрик в Японии несколько японских производителей будут поставлять продукцию Rapidus. Среди них компания Dai Nippon Printing (DNP) в 2027 году начнет массовое производство масок для 2-нм чипов на своем заводе в Фукуоке и других предприятиях в Японии, которые будут предоставлены Rapidus.
Помимо DNP, японская компания TOPPAN Holdings также сотрудничает с IBM в разработке масок для 2-нм чипов и обеспечении их массового производства к 2026 году, а покупателем, как сообщается, является Rapidus. Кроме того, ожидается, что поставщиками Rapidus также станут такие компании, как Tokyo Ohka Kogyo (TOK), JSR, Shin-Etsu Chemical.

Обнародованы планы по производству 1-нм чипов

Следующей целью для производителей пластин станет 1-нм чип. В свете планов производителей ожидается, что в отрасли начнется массовое производство чипов уровня 1 нм в период с 2027 по 2030 год.

TSMC планирует достичь узла A14 (1,4 нм) в 2027 году и узла A10 (1 нм) в 2030 году. Недавние сообщения Economic Daily News показали, что TSMC намерена открыть завод в Научном парке города Тайбао, округ Цзяи в центральном Тайване. для производства 1-нм чипов.

Samsung планирует запустить процесс 1,4 нм к концу 2027 года. Сообщается, что процесс Samsung SF1.4 (1,4 нм) может увеличить количество нанолистов с 3 до 4, что, как ожидается, значительно улучшит производительность и энергопотребление.

Последняя дорожная карта Intel показывает, что узел Intel 14A (уровень 1,4 нм) будет запущен в производство в 2026 году, а разработка или производство узла Intel 10A (уровень 1 нм) начнется в конце 2027 года.

Оставить комментарий